Reuse Methodology Manual for System-On-A-Chip Designs

Print on Demand | Lieferzeit: Print on Demand - Lieferbar innerhalb von 3-5 Werktagen I
Alle Preise inkl. MwSt. | Versandkostenfrei
Nicht verfügbar Zum Merkzettel
Gewicht:
457 g
Format:
234x157x25 mm
Beschreibung:

Michael Keating is Professor of Political and Social Sciences in the Department of Political and Social Sciences at the European University Institute, Florence, and Professor of Politics in the Department of Politics and International Relations at the University of Aberdeen.
This updated and revised book outlines a set of best practices for creating reusable designs for use in a SoC design methodology. It provides a chronicle of "best practices". These practices are based on the authors' experience in developing reusable designs, as well as the experience of design teams in many companies around the world. There is emphasis on hard IP and physical design. Silicon and tool technologies move so quickly that many of the details of design-for-reuse will undoubtedly continue to evolve over time. But the fundamental aspects of the methodology described in this book have become widely adopted and are likely to form the foundation of chip design for some time to come.
The System-on-Chip Design Process.- System-Level Design Issues: Rules and Tools.- The Macro Design Process.- RTL Coding Guidelines.- Macro Synthesis Guidelines.- Macro Verification Guidelines.- Developing Hard Macros.- Macro Deployment: Packaging for Reuse.- System Integration with Reusable Macros.- System-Level Verification Issues.- Data and Project Management.- Implementing Reuse-Based SoC Designs.

"Reuse Methodology Manual for System-on-a-Chip Designs, Third Edition" outlines a set of best practices for creating reusable designs for use in an SoC design methodology. These practices are based on the authors' experience in developing reusable designs, as well as the experience of design teams in many companies around the world. Silicon and tool technologies move so quickly that many of the details of design-for-reuse will undoubtedly continue to evolve over time. But the fundamental aspects of the methodology described in this book have become widely adopted and are likely to form the foundation of chip design for some time to come.

Development methodology necessarily differs between system designers and processor designers, as well as between DSP developers and chipset developers. However, there is a common set of problems facing everyone who is designing complex chips.

In response to these problems, design teams have adopted a block-based design approach that emphasizes design reuse. Reusing macros (sometimes called "cores") that have already been designed and verified helps to address all of the problems above. However, in adopting reuse-based design, design teams have run into a significant problem. Reusing blocks that have not been explicitly designed for reuse has often provided little or no benefit to the team. The effort to integrate a pre-existing block into new designs can become prohibitively high, if the block does not provide the right views, the right documentation, and the right functionality.

From this experience, design teams have realized that reuse-based design requires an explicit methodology for developing reusable macros that are easy to integrate into SoC designs. This manual focuses on describing these techniques.

Kunden Rezensionen

Zu diesem Artikel ist noch keine Rezension vorhanden.
Helfen sie anderen Besuchern und verfassen Sie selbst eine Rezension.